Semáforo em vhdl

2413 palavras 10 páginas
UNIVERSIDADE FEDERAL DO RIO GRANDE DO NORTE
DEPARTAMENTO DE ENGENHARIA ELÉTRICA
LABORATÓRIO DE SISTEMAS DIGITAIS
PROF DAVID SIMONETT

FRANCISVAL GUEDES SOARES

SEMÁFORO EM VHDL

NATAL 05/2012
Sumário
1 Introdução 1 2 A Atividade Proposta 1 3 O Sistema proposto para o semáforo 1 3.1 A Máquina de Estados 1 3.2 Implementação da MDE em VHDL 4 3.3 O Oscilador 7 3.4 Timer 8 3.5 Sistema Semáforo 9 3.6 Configuração para o kit DE2 da Altera 11 4 Conclusão 13 5 Referências 13

Introdução

O projeto e concepção de sistemas digitais é indispensável para a formação do engenheiro que pretenda trabalhar com eletrônica, tamanha a sua importância prática atualmente. Qualquer dispositivo eletrônico destinado ao público geral atualmente, porta tecnologias de sistemas digitais. Circuitos que anteriormente chegavam a ocupar um andar inteiro de um prédio, hoje são substituídos por pequenos chips que realizam a mesma tarefa, mais rapidamente e consumindo menos energia.
Este trabalho visa implementar um semáforo a partir da linguagem VHDL, O desenvolvimento deste trabalho foi realizado na plataforma Quartos II, no simulador Model Sim e no Kit DE2 da Altera.
A Atividade Proposta

Para avaliação da disciplina de Laboratório de Sistemas Digitais foi proposto a implementação de um semáforo em VHDL para simulação no kit DE2 da Altera. Utilizando os conhecimentos obtidos na disciplina de Sistemas Digitais e Laboratório de Sistemas Digitais.
O Sistema proposto para o semáforo

O sistema proposto para o semáforo dispõe de quatro módulos, um oscilador (divisor de frequências) responsável por diminuir a frequência fornecida pelo Kit DE2 no nosso caso de 27 MHz, um temporizador usado para fornecer os tempos necessários ao vermelho verde e amarelo, a maquina de estados que controla a sequencia de eventos, além de um bloco responsável por unir todos os blocos.

A Máquina de Estados

Nessa primeira etapa do trabalho com as maquinas de estado foi

Relacionados

  • 60636896 Semaforos em VHDL
    623 palavras | 3 páginas
  • Projeto digitais
    793 palavras | 4 páginas
  • Proposta de um semáforo inteligente.
    1561 palavras | 7 páginas
  • hghg
    569 palavras | 3 páginas
  • Teste
    988 palavras | 4 páginas
  • guia sistemas digitais
    5223 palavras | 21 páginas
  • A mente
    1867 palavras | 8 páginas
  • Sistemas embarcados
    2658 palavras | 11 páginas
  • INSTITUTO FEDERAL DO PARAN
    3115 palavras | 13 páginas
  • LivroDacencio
    56048 palavras | 225 páginas