FPGA

5790 palavras 24 páginas
Uma Arquitetura Sistólica para Solução de Sistemas
Lineares Implementada com circuitos FPGAs

Antônio Carlos de Oliveira Souza Aragão

Orientador: Prof. Dr. Eduardo Marques

Dissertação apresentada ao Instituto de Ciências Matemáticas e de Computação - ICMC - USP, como parte dos requisitos para obtenção do título de Mestre em Ciências - Área: Ciências de
Computação e Matemática Computacional.

São Carlos
Dezembro - 1998

Aos meus pais e à Andrea, minhas fontes de incentivo e carinho.

Agradecimentos

À Deus, pelas oportunidades e dádivas em minha vida.
Aos meus pais pelo amor e dedicação em minha formação.
À Andrea pela paciência, carinho e incentivo.
Ao Prof. Eduardo Marques, mais que um orientador um grande amigo.
Ao Prof. Donald Hung e à WSU pela cooperação técnica.
Ao Prof. Jorge Luiz Silva, Karl Hillesland, Omar Cortes, Marcos Roberto, Alencar e a todos que colaboraram para a realização deste trabalho.
Aos amigos e companheiros de mestrado que caminharam juntos nessa batalha.
Aos funcionários do ICMC, sempre prestativos e eficientes.
Ao CNPq pelo apoio financeiro recebido.

i

Índice
1. INTRODUÇÃO .........................................................................................................................1
1.1. CONSIDERAÇÕES INICIAIS ......................................................................................................1
1.2. ORGANIZAÇÃO DO TRABALHO ...............................................................................................2
2. METODOLOGIAS AVANÇADAS PARA PROJETO DE HARDWARE .........................3
2.1. EVOLUÇÃO DA TÉCNICA DE DESENVOLVIMENTO DE HARDWARE ..........................................3
2.2. FERRAMENTAS DE AUTOMAÇÃO DE PROJETOS ELETRÔNICOS ................................................5
2.3. METODOLOGIA DE PROJETO EM ALTO NÍVEL .........................................................................7
2.3.1. Entrada do Projeto

Relacionados

  • Fpga
    329 palavras | 2 páginas
  • FPGA
    1189 palavras | 5 páginas
  • FPGA
    4887 palavras | 20 páginas
  • Fpga
    2262 palavras | 10 páginas
  • Fpga
    906 palavras | 4 páginas
  • Fpga
    5610 palavras | 23 páginas
  • FPGA
    1122 palavras | 5 páginas
  • Apostila de fpga
    5382 palavras | 22 páginas
  • Fpga
    352 palavras | 2 páginas
  • FPGA
    1682 palavras | 7 páginas