TRABALHO FINAL ELETRONICA DIGITAL

1391 palavras 6 páginas
UNISUL – Universidade do Sul de Santa Catarina
Curso de Engenharia Elétrica - Tubarão
Disciplina de Eletronica Digital
Professor Daniel Cruz

Simulação VHDL – Somador 1

Alunos:Ezequiel Fidelis Konrad kruger Steiner

TUBARÃO – SC
Junho 2015

MEIO SOMADOR
CIRCUITO
--definição do meio somador
---definição de bibliotecas library IEEE; use IEEE.Std_Logic_1164.all;
----------------------------------------------------------------
---nome da entidade "meio somador" entity halfadd is
------------------------------------------------------------------
---definição de portas,neste caso estamos utilizando duas portas de entradas logicas A e B
---e duas saidas logicas Sum e Carry. port (A, B: in std_logic;
Sum, Carry: out std_logic); end halfadd;
---------------------------------------------------------------------
--- arquitetura no qual as entradas e saidas estão relacionadas.
--- a saida Sum recebe as entradas A e B com porta XOR.
--- a saida Carry recebe as entradas A e B com porta AND. architecture comp of halfadd is begin Sum <= A xor B;
Carry <= A and B; end comp;
-----------------------------------------------------------------------

---- fim do programa

Test Bench
--definição da simulação
---testbench contém os processos geradores de estímulos (testes) e uma instância do projeto a testar
---definição de bibliotecas e emplementação Padrão IEEE. library ieee; use ieee.std_logic_1164.all;
---------------------------------------------------------------------------------------------------
---nome da entidade "meio somador" entity halfadd_tb is end halfadd_tb;
--------------------------------------------------------------------------------------------------
--- arquitetura no qual as entradas e saidas estão relacionadas para a simulação.

architecture TB_ARCHITECTURE of halfadd_tb is
--------------------------------------------------------------------------------------------------
---definição de novas variaves, onde as portas recebem a

Relacionados

  • eae plano ed aula
    780 palavras | 4 páginas
  • teste
    4144 palavras | 17 páginas
  • Circuitos digitais aula 1
    322 palavras | 2 páginas
  • Processo Eletrônico
    1769 palavras | 8 páginas
  • Pr Projeto Cftv E Alarmes Para Anatomia Ccs 11
    6286 palavras | 26 páginas
  • Algebra de boole
    6069 palavras | 25 páginas
  • Sped
    1959 palavras | 8 páginas
  • 2015 1 CST Mecatronica Industrial 2 Eletronica Digital Sequencial
    1759 palavras | 8 páginas
  • Mensuração dos impactos operacionais da adesão ao sistema de nota fiscal eletrônica de uma empresa agroindustrial no norte do paraná
    2349 palavras | 10 páginas
  • Eletrônica
    1132 palavras | 5 páginas