Relogio de 6 display de 7 segmentos

297 palavras 2 páginas
9V

CE R

a b c d e f g 2 10 EO

R40 R41 1K R42 1K R43 1K R44 1K R45 1K R46 1K 1K

DS6 R47 1K
EN

0 1 2 3 4 5 6 7 8 9

D6 9V
DE

D7
R 10

IC9 4026B R33 R34 1K R35 1K R36 1K R37 1K R38 1K R39 1K 1K

IC10 4017B

D9

9V DS5
EN 0 1 2 3 4 5 6 7 8 9 10

CE R

a b c d e f g 2 10 EO

R48 1K

D8

D5 9V
DE

IC8 4026B

9V

IC12a 4081B

R

IC11 4017B

CE R

D4

a b c d e f g 2 10 EO

R25 R26 1K R27 1K R28 1K R29 1K R30 1K R31 1K 1K

DS4 R32 1K
EN

0 1 2 3 4 5 6 7 8 9 10

R

9V

DE

IC6 4026B

IC7 4017B

CE R

D3

a b c d e f g 2 10 EO

R17 R18 1K R19 1K R20 1K R21 1K R22 1K R23 1K 1K

DS3

9V

DE

IC4 4026B

9V

CE R

D2

a b c d e f g 2 10 EO

R10 R11 1K R12 1K R13 1K R14 1K R15 1K R16 1K 1K

DS2 R24 1K
EN

0 1 2 3 4 5 6 7 8 9 10

R

9V

DE

9V 9V

IC3 4026B

IC5 4017B

R8 1000K R9 220K
4 8 3 1 5 a b c d e f g 2 10 EO

7 6 2

IC2 NE555 D1

CE R

R1 R2 1K R3 1K R4 1K R5 1K R6 1K R7 1K 1K

DS1

relosio final.lvw

C1 1000nF

C2 100nF

9V

DE

IC1 4026B

page 1 of 1

Relacionados

  • qulquer
    2719 palavras | 11 páginas
  • MSP FINAL01
    3405 palavras | 14 páginas
  • Relogio
    1312 palavras | 6 páginas
  • Relogio digital
    1475 palavras | 6 páginas
  • Fonte Variavel
    4664 palavras | 19 páginas
  • Laboratório Eletronica Digital
    1881 palavras | 8 páginas
  • Relógio digital - eletroeletrônica
    1571 palavras | 7 páginas
  • TRABALHO RELOGIO
    668 palavras | 3 páginas
  • Relógio Digital
    3183 palavras | 13 páginas
  • Relogio Digital
    484 palavras | 2 páginas