Memoria em FPGA

325 palavras 2 páginas
Experimentos com Memória em FPGA

Introdução

Este projeto teve como objetivo ajudar na preparação e desenvolvimento de técnicas de programação em VHDL para bolsistas do Projeto FloripaSat, que implica na preparação de um payload (carga útil) para um pico satélite. O projeto embarcado no payload, terá a necessidade de ser gravado na memória flash (de configuração) de uma placa com o componente Cyclone IV. Este projeto serviu de preparação e aprendizado aos bolsistas deste projeto.
Experimento 1: Exibição dos Conteúdos da Memória

Descrição Este experimento tem como objetivo construir uma memória ROM interna no FPGA, mostrando em sua saída (display de 6 algarismos) os valores “endereco” e “dado” contidos no mesmo. Para isto, devemos saber que a memória ROM (Read Only Memory) é uma memória de leitura apenas. O projeto será gravado na memória flash do kit didático Cyclone IV, processo este inédito no portal FPGA para Todos.

Implementação do Sistema

O projeto foi desenvolvido no modo hierárquico, em VHDL, utilizando alguns blocos prontos feitos por outros bolsistas do projeto FPGA Para Todos e utilizando algumas megafunções da Altera, como o bloco da Memoria ROM de 256 x 8 e do contador de 8 bits.

Implementamos o processo do divisor de Clock em VHDL e o processo do controle do acionamento do traço central. Também buscamos em outros artigos do portal dois projetos, são eles o bin_7seg.[1] e o ctrl_dispmux[2].

Gravação e testes

[ [3] e IMAGENS
]

Experimento 2: Gerador de Formas de Onda

Descrição

Dados para Forma de Onda Senoidal

Implementação do Sistema

Gravação e Testes

Conclusões

Referências Bibliográficas

[1] http://fpgaparatodos.com.br/exemplos-de-aplicacao/exemplos-didaticos/4-decodificador-para-display-de-7-segmentos-em-vhdl.html
[2] http://fpgaparatodos.com.br/exemplos-de-aplicacao/exemplos-didaticos/26-multiplexacao-display-de-6-algarismos.html
[3] link com o projeto do

Relacionados

  • Arquiteturas reconfiguraveis
    2990 palavras | 12 páginas
  • Multi-fpgas
    10756 palavras | 44 páginas
  • Sistemas embarcados
    679 palavras | 3 páginas
  • Apostila de fpga
    5382 palavras | 22 páginas
  • Slide sobre FPGA
    2980 palavras | 12 páginas
  • ARTIGO 1 LABORAT RIO DE SISTEMAS DIGITAIS Carlos Ronyhelton e Rodrigo Barros
    1980 palavras | 8 páginas
  • VHDL
    1146 palavras | 5 páginas
  • PLATAFORMA WEB DE L GICA PROGRAM VEL
    9693 palavras | 39 páginas
  • lógica programável
    7019 palavras | 29 páginas
  • Resumo nexys2
    1637 palavras | 7 páginas