Disciplina: Eletrônica Digital

740 palavras 3 páginas
Lista de Exercícios Nº 5 1. Elabore um Codificador Decimal/Binário para, a partir de um teclado com chaves numeradas de 0 a 3, fornecer nas saídas o código correspondente. Considere que as entradas para portas em vazio equivalem à aplicação de nível lógico 1. 2. Projete um circuito combinacional para em um conjunto de 4 fios, fornecer nível 0 em apenas um deles por vez (estando os demais em nível 1), conforme seleção binária aplicada às entradas digitais. 3. Elabore um decodificador 3 para 8 onde, conforme as combinações entre os 3 fios de entrada, 1 entre os 8 fios de saída é ativado (nível 1). 2 4. Desenvolva um circuito que transforme do código BCD 8421 para o código de Johnson. Resposta: 5. Projete um decodificador do código Gray para o Excesso 3. Dê apenas as expressões simplificadas. S0 = BC’ + BD S1= AD + CD’ + B’D S2= D’ S3= AD’ + B’C’D’ + B’CD + BCD’ + A’BC’D 6. Projete um decodificador para, a partir de um código binário, escrever a sequência de 1 a 5 em um display de 7 segmentos catodo comum. a = B + AC b = A’ + C’ c = B’ + C d = B + AC e = BC’ f = A g = A + B 7. Projete um decodificador para, a partir de um código binário, escrever a sequência da figura abaixo em um display de 7 segmentos anodo comum. a = C b = BC + AB + A’B’C’ c = B + A’C’ d = A’BC’ + AB’C’ + ABC e = AB’C f = A’B’C + ABC g = A’B’C’ + A’BC 3 8. Monte a tabela e simplifique as expressões do decodificador do código Gray para hexadecimal, visualizado em um display de 7 segmentos catodo comum. a = B’D’ + BC’ + AC’ + BD + A’B’C b =A’B’ + A’D’ + ABC’ + ACD c = B + A’C’ + A’D’ + ACD d = B’C + A’B’D’ + A’BD + ABD’ + AC’D e = AB’ + AC + AD’ + B’C’D’ + B’CD + A’BC’D f = AC’ + BC + BD + B’C’D’ + AD’ g = AC’ + A’C + BD + BC + AD 9. Faça o projeto e desenhe o circuito para, a partir de um código binário, escrever a sequência do sistema hexadecimal em um display de 7 segmentos anodo comum. a = A’B’C’D + A’BC’D’ +

Relacionados

  • Unidade 1
    460 palavras | 2 páginas
  • EGENHARIA
    9152 palavras | 37 páginas
  • ifsulmodelo
    1578 palavras | 7 páginas
  • Apostila Eletr Nica Anal Gica CONDICIONAMENTO SINAIS
    3124 palavras | 13 páginas
  • Saporra
    2457 palavras | 10 páginas
  • oferta 2012
    1694 palavras | 7 páginas
  • 2015 1 CST Mecatronica Industrial 2 Eletronica Digital Sequencial
    1759 palavras | 8 páginas
  • Modelagem Boost
    5613 palavras | 23 páginas
  • teste
    4144 palavras | 17 páginas
  • resumo livro o segredo de luisa
    17710 palavras | 71 páginas